1 / 12

Pipeline Gating: Speculation Control For Energy Reduction

Pipeline Gating: Speculation Control For Energy Reduction Srilatha Manne, Artur Klauser, Dirk Grunwald ISCA '98 Presented by Maria Zeniou 21/06/2005. Motivation. Although speculation and out-of-order execution on microprocessors have increased IPC, the have come at the cost of wasted work

micah-love
Download Presentation

Pipeline Gating: Speculation Control For Energy Reduction

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Pipeline Gating: Speculation Control For Energy Reduction Srilatha Manne, Artur Klauser, Dirk Grunwald ISCA '98 Presented by Maria Zeniou 21/06/2005

  2. Motivation • Although speculation and out-of-order execution on microprocessors have increased IPC, the have come at the cost of wasted work • Wasted work increases power demands of the processor as a whole

  3. Goal • Control speculation and reduce the amount of unnecessary work in high-performance, wide-issue, super-scalar processors

  4. Pipeline gating for work reduction • Extra work(of a given pipeline stage): • Energy = Power x Time • Simply reducing the power may not decrease the energy demands if the task now takes longer to execute • Reduce work while retaining performance

  5. Pipeline Gating

  6. Parameters • Branch predictor • Confidence estimator • Stage at which a gating decision is made • Which stage to gate • Low-confident branches needed to engage gating

  7. Confidence Estimaton Metrics Metrics to characterize the performance of confidence estimators: • Specificity (SPEC): the fraction of all mispredicted branches actually detected by the confidence estimator as being low confident • Predictive value of a negative test (PVN): the probability of a low-confidence branch being incorrectly predicted

  8. Confidence Estimators • Perfect • Static: associates a confidence estimate with each conditional branch instruction • JRS • Saturating: High confidence only if saturating counters for both gshare and bimodal are in a strong state and have the same predicted direction • Distance

  9. Results

  10. Contributions • Pipeline gating method: to reduce the number of speculatively issued instructions • Compare the effectiveness and cost of this design – Show how to increase the effectiveness of confidence estimation mechanisms for pipeline gating • Present results that show a significant reduction in unnecessary work with a negligible performance loss

More Related