1 / 17

The PARSEC Benchmark Suite

The PARSEC Benchmark Suite. 발표자 이보선. Characterization and Architectural Implications. MOTIVATION. The existing benchmark suffer from a number of limitations and are not adequate to evaluate future CMPs

marcy
Download Presentation

The PARSEC Benchmark Suite

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. The PARSEC Benchmark Suite 발표자 이보선 Characterization and Architectural Implications

  2. MOTIVATION • The existing benchmark suffer from a number of limitations and are not adequate to evaluate future CMPs • The lack of good benchmark suites can hamper parallel architecture research as well as reduce its impact. • It includes not only a number of important RMS applications but also several leading-edge applications from Princeton University, Stanford University, and the open-source domain.

  3. Limitations of Existing Benchmark Suites • SPLASH-2 • SPEC CPU2006 and OMP2001 • Other Benchmark Suites

  4. Requirements for a Benchmark Suite- Objectives of PARSEC • Multithreaded Applications • Emerging Workloads • Diverse • Employ State-of-Art Techniques • Support Research

  5. PARSEC BENCHMARK SUITE • It consists of 9 applications and 3 kernels • PARSEC workloads were selected to include different combinations of parallel models, machine requirements and runtime behaviors • All benchmarks are written in C/C++ • PARSEC meets all the requirements outlined

  6. PARSEC - Input Sets • Test - A very small input set to test the basic functionality of the program. • Simdev - A very small input set which guarantees basic program behavior similar to the real behavior, intended for simulator test and development. • Simsmall, Simmedium and Simlarge- Input sets of different sizes suitable for simulations. • Native - A large input set intended for native execution.

  7. PARSEC - Workloads

  8. PARSEC - Workloads

  9. Experimental Setup • We used CMP$im for our workload characterization. • We simulate a single-level cache hierarchy of a CMP and vary its parameters. • All experiments were conducted on a set of Symmetric Multiprocessor (SMP) machines with x86 processors and Linux. • shared 4-way associative cache (4 MB capacity and 64 byte lines) • By default the workloads used 8 cores. • The programs were compiled with gcc 4.2.1.

  10. PARALLELIZATION

  11. PARSEC experiment

  12. WORKING SETS AND LOCALITY

  13. WORKING SETS AND LOCALITY

  14. WORKING SETS AND LOCALITY

  15. COMMUNICATION-TO-COMPUTATION RATIO AND SHARING

  16. COMMUNICATION-TO-COMPUTATION RATIO AND SHARING

  17. OFF-CHIP TRAFFIC

More Related