1 / 7

Functies en procedures

Functies en procedures. Functies type conversie functies bit vector to integer en omgekeerd verkorte componenten met maar 1 output voor de hoog niveau beschrijving Procedures verkorte componenten met meerdere outputs voor de hoog niveau beschrijving.

effie
Download Presentation

Functies en procedures

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Functies en procedures • Functies • type conversie functies • bit vector to integer en omgekeerd • verkorte componenten met maar 1 output • voor de hoog niveau beschrijving • Procedures • verkorte componenten met meerdere outputs • voor de hoog niveau beschrijving • Declaratie van een functie of procedure • Ofwel in het begin van een architectuur • Ofwel in een package

  2. Functie regels • Er is maar een Return waarde • Parameters kunnen alleen maar input zijn • “in” hoeft niet gedeclareerd te worden • Parameters mogen niet veranderd worden • Wait instructies zijn niet toegelaten • Alle statements moeten sequentiële statements zijn • Interne variabelen mogen gedeclareerd worden • Signalen mogen niet gedeclareerd worden

  3. Functie voorbeeld package majorities is function majority (a, b, c: bit) return bit; package body majorities is function majority (a, b, c: bit) return bit is begin return ((a and b) or (a and c) or (b and c)); end majority; end majorities;

  4. Procedure regels • Parameters kunnen output en input zijn • “in” hoeft niet gedeclareerd te worden • Alle statements moeten sequentiële statements zijn • Wait statements zijn toegelaten • Interne variabelen mogen gedeclareerd worden • Signalen mogen niet gedeclareerd worden

  5. Procedure D FF procedure dff ( signal d: std_logic_vector; signal clk, rst: std_logic; signal q, q_bar: out std_logic_vector) is begin if rst = '1' then q <= (others => '0'); elsif clk'event and clk = '1' then q <= d; q_bar <= not d; end if; end procedure;

  6. Overloading • Het creëren van verschillende functies die dezelfde operaties definiëren voor verschillende types. • Bv: "+" is in standaard VHDL gedefinieerd voor numeric types(integer, float, …) maar niet voor bit-vectoren • Door overloading kan dit ook voor bitvectors

  7. Overloading operator voorbeeld function "+"(L: STD_LOGIC_VECTOR; R: STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is constant length: INTEGER := maximum(L'length, R'length); variable result : STD_LOGIC_VECTOR (length-1 downto 0); begin result := SIGNED(L) + SIGNED(R); return std_logic_vector(result); end; function "+"(L: STD_LOGIC_VECTOR; R: INTEGER) return STD_LOGIC_VECTOR is variable result : STD_LOGIC_VECTOR (L'range); begin result := SIGNED(L) + R; return std_logic_vector(result); end;

More Related