1 / 17

Bus Encoding for Total Power Reduction Using a Leakage-Aware Buffer Configuration

Bus Encoding for Total Power Reduction Using a Leakage-Aware Buffer Configuration. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 12, DECEMBER 2005. 班級:積體所碩一 學生:林欣緯 指導教授:魏凱城 老師. Outline. Abstract Introduction Overview Of Encoding

diata
Download Presentation

Bus Encoding for Total Power Reduction Using a Leakage-Aware Buffer Configuration

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Bus Encoding for Total Power Reduction Using a Leakage-Aware Buffer Configuration IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 12, DECEMBER 2005 班級:積體所碩一 學生:林欣緯 指導教授:魏凱城 老師

  2. Outline • Abstract • Introduction • Overview Of Encoding • Proposed Encoding Algorithm • Power And Performance Analysis • Conclusion

  3. Abstract • We propose a new bus encoding algorithm and circuit scheme for on-chip buses that eliminates crosstalk while simultaneously reducing total power. • We utilize a buffer design approach with a selective use of high-threshold voltage transistors. • Our approach also minimizes the codec logic complexity resulting in significantly reduced power and delay overhead.

  4. Introduction • Buffers used to manage delay and signal integrity problems on long on-chip buses constitute a major component of this leakage power. • Utilize bus encoding to eliminate undesirable effects that would otherwise occur during transmission of the unencoded bits. • The novelty in our bus encoding scheme is that it is leakage-aware and coupled with a dual-Vth buffer design.

  5. Overview Of Encoding • low-threshold voltage (LVT) buffers are used in on-chip memory buses to achieve high performance requirements. • LVT devices are unsuitable from a power perspective due to their very high leakage power. • A simple way to reduce subthreshold leakage current is by raising Vth, which is accomplished by replacing the LVT buffers with high-threshold voltage (HVT) buffers.

  6. Overview Of Encoding • Using a HVT instead of a LVT device typically provides a leakage savings of 10X for the same size device. • However, using HVT buffers leads to a large degradation in performance.

  7. Normalized worst case

  8. HVT buffers can greatly reduce leakage current but only by incurring significant penalties in delay and dynamic energy. • To resolve this problem and address leakage issues, we propose the use of staggered threshold voltage (SVT) buffers.

  9. SVT buffers

  10. Crosstalk-aware • When a pair of adjacent wires transition in oppositedirections, it results in worst case conditions for both delay and power. • We now utilize the SVT buffer technique in our encoding algorithm that uses an enhanced self-shield mechanism to eliminate crosstalk while simultaneously minimizing the total power.

  11. Proposed Encoding Algorithm • As motivated in the previous section, we require an encoding scheme that has the following three features. • F1) Eliminate crosstalk between adjacent bus lines. • F2) Minimize leakage by skewing the probability of the bits. • F3) Minimize overhead due to the encoding and decoding logic.

  12. Summary of the Proposed Algorithm

  13. Power And Performance Analysis • The encoding algorithm described previously was implemented using industrial 0.13μm device models. • The SVT buffers were characterized using SPICE simulations at a temperature of 105°C. • A bus line length of 8 mm was constructed with an inverting repeater inserted every 800 μm. There were ten inverters such that the total bus line remained non-inverted.

  14. Percent tolerance in the encoding/decoding logic

  15. On average, we achieved a total power savings of about 26% with a best case savings of 44%.

  16. Conclusion • We propose an enhanced self-shield encoding algorithm combined with a novel SVT buffering technique that achieves considerable reductions in total power consumption while simultaneously eliminating crosstalk-inducing transitions and reducing the encode/decode logic overhead.

More Related