1 / 41

2003 ITRS Factory Integration Chapter Production Equipment Backup Section

2003 ITRS Factory Integration Chapter Production Equipment Backup Section. Details and Assumptions for Technology Requirements and Potential Solutions. Production Equipment Backup Outline. Contributors How Metrics were Selected SEMATECH and ITRS Metrics Alignment Equipment Configurations

chico
Download Presentation

2003 ITRS Factory Integration Chapter Production Equipment Backup Section

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 2003 ITRS Factory Integration Chapter Production Equipment Backup Section Details and Assumptions for Technology Requirements and Potential Solutions ITRS Factory Integration TWG

  2. Production Equipment Backup Outline • Contributors • How Metrics were Selected • SEMATECH and ITRS Metrics Alignment • Equipment Configurations • Facilities and Standards Integration • Process Control Systems • Suggested University and Industry Research for 2004+ ITRS Factory Integration TWG

  3. Don Martin (IBM) Mani Janakiram (Intel) Martin Haller (Infineon) John Fowler (ASU) Donald Hicks (UT-Dallas) Mike Schwartz (ISMT) Shantha Mohan (Consultant) Raja Sunkara (National) Eric Christensen (AMD) John Plummer (Consultant) Abol Taghizadeh (Tefen) Hiromi Yajima (Toshiba) Ashwin Ghatalia (Phillips) Dev Pillai (Intel) Arieh Greenberg (Infineon) Arnie Steinman (ION Systems) Court Skinner (Consultant) Eric Englhardt (AMAT) Shige Kobayashi (Renesas) Jeff Pettinato (Intel) Junji Iwasaki (Renesas) Michio Honma (NEC Electronics) Contributors to Production Equipment ITRS Factory Integration TWG

  4. How Metrics were selected • Almost every metric is a best in class or close to best in class • Sources are: Rob Leachman’s published 200mm benchmarking data, Individual IC maker feedback, and I300I Factory Guidelines for 300mm tool productivity • It is likely a factory will not achieve all the metrics outlined in the roadmap concurrently • Individual business models will dictate which metric is more important than others • It is likely certain metrics may be sacrificed (periodically) for attaining other metrics (Example: OEE/Utilization versus Cycle time) • The Factory Integration metrics are not as tightly tied to technology nodes as in other chapters such as Lithography • However, nodes offer convenient interception points to bring in new capability, tools, software and other operational potential solutions • Inclusion of each metric is dependent on consensus agreement We think the metrics provide a good summary of stretch goals for most companies in today’s challenging environment. ITRS Factory Integration TWG

  5. International SEMATECH Metrics Alignment Rev 1 09/06/03 ITRS Factory Integration TWG

  6. ITRS/ISMT Metrics Alignment Objective & Status • Align 300mm metrics definitions that are collected for ISMT with those for the ITRS for consistency • Status: Done and Agreed for 37 metrics by ISMT. ITRS sync on production equipment in progress. Expect to complete the alignment by the end of the 2003 ITRS roadmap year in September • Long term objective (2004+) is to develop a process where best in class metrics can be collected globally by SIA or an independent equivalent and used for ITRS synchronization • Industry Best in Class (BIC) Data sharing proposal will not occur in 2003 and will be contingent on number of global 300mm Fabs for 2004 • JEITA (Japan) is ok with the concept, however, since there is only 1 300mm Fab (Renesas/Trecenti), all of their values will be lined to that fab. Timing is key for them • Taiwan TSIA has agreed to discuss, but FtF has been pushed to August due to SARS • Need to close on SIA willingness to manage cross regional data – AR for Jeff to close by September FtF

  7. 300mm Metrics Sync Agreement with ITRSSummary of Approvals from MMC/PAG/Council FtF Meetings • ISMT has agreed to definitions for 36 combined operations, production equipment and AMHS metrics (see slide xx for summary) • ISMT will use three process technology nodes for 300mm Fabs: • 1) >130nm, 2) =130nm and 3) < 130nm • ITRS defines current node as 90nm and this will be the focus for future BIC calculations • Use minimum printed image on a process recipe to define technology nodes • Example: Use minimum printed image on Poly, Contacts or Isolation (DRAM) layers • ITRS defines 130nm node as having 24 layers • Please direct any questions or comments to • Mike Schwartz -> (512) 356-3926; mike.schwartz@sematech.org • Jeff Pettinato -> (480) 554-4077; jeffrey.s.pettinato@intel.com

  8. ISMT and ITRS Metrics Synchronization

  9. Aligner Productivity • Photo Alignments Completed per aligner per Work Day • The average number of photo wafer alignments performed per machine per work day (over the quarter), taking into account all photo wafer alignments tools in the factory • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fractions if aligner only available part time (new installations only -not down for repairs)

  10. 248nm Scanner Productivity- I Line • Photo Alignments Completed Per 248nm Scanner Per Work Day • The average number of photo wafer alignments performed per machine per work day, considering only photo wafer alignments performed on 248nm Scanners in the Fab • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fractions if aligner only available part time (new installations only -not down for repairs)

  11. 193nm Scanner Productivity • Photo Alignments Completed per 193nm Scanner per Work Day • The average number of photo wafer alignments performed per machine per work day, considering only photo wafer alignments performed on 193nm Scanners in the Fab • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fractions if stepper available part time (new installations only -not down for repairs)

  12. PVD Productivity • PVD Metal Deposition Outs per Day per System • Total number PVD metal deposition moves completed per day per system. Metal deposition refers to all processes in PVD tools eg: interconnect, salicide, Ti/TiN barrier.Do not include copper processes • Notes: • Rework not included • Include production wafers, engr.wafers – (optional), no monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not -# chambers/tool

  13. Metal Etch Productivity • Dry Metal Etch Outs per Day per System • Total number Dry or “non-wet” metal etch moves completed per day per system • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time • (new installations only -not down for repairs) • System is complete tool not -# chambers/tool • Metal interconnect levels only

  14. High Current Implant Productivity • High Current Implant Outs per Day per System • Total number high current implant moves completed per day per system. • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not -# chambers/tool

  15. Mid Current Implant Productivity • Mid Current Implant Outs per Day per System • Total number mid current implant moves completed per day per system. • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not -# chambers/tool

  16. High Energy Implant Productivity • High Energy Implant Outs per Day per System • Total number high energy implant moves completed per day per system • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not -# chambers/tool

  17. CMP Oxide Productivity • CMP Oxide Outs per Day per System • Total number CMP Oxide moves completed per day per system • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not -# heads/tool

  18. CMP W Productivity • CMP W Wafer Outs per Day per System • Total number CMP W wafer moves completed per day per system • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not -# heads/tool

  19. CMP Cu Productivity • CMP Cu Wafer Outs per Day per System • Total number CMP Cu wafer moves completed per day per system • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not -# heads/tool

  20. Copper Plating Productivity • Copper Plating Outs per Day per System • Total number Copper Plating moves completed per day per system • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not - # heads/tool

  21. CVD ILD Productivity • CVD ILD Outs per Day per System • ILD = Inter Level Metal Dielectric • Total number CVD ILD moves completed per day per system. ILD refers to inter-level metal dielectric. Typically k>/= 2.8 • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not - # heads/tool

  22. CVD Low k (<2.8) Productivity • Low k Outs per Day per System- CVD • Total number Low k moves completed per day per system by CVD • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not - # heads/tool

  23. Spin-On Low k (<2.8) Productivity • Low k Outs per Day per System- Spin on • Total number Low k moves completed per day per system by Spin on Process • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not - # heads/tool

  24. PVD Copper Barrier/Seed Productivity • PVD Copper Barrier/Seed Outs per Day per System • Total number PVD Copper Barrier/Seed moves completed per day per system • Notes: • Rework not included • Include production wafers, engineering wafers – (optional) • No monitor wafers • Can use fraction if system available part time (new installations only -not down for repairs) • System is complete tool not - # heads/tool

  25. Process Equipment Availability • Availability defined as 100% - (scheduled + unscheduled downtime) as per SEMI E10 • Calculate as a yearly benchmark for following tools: • 193nm Scanner • 248nm Scanner • Damascene ILD etch • Cu CMP • Cu Plating • Cu barrier/seed • Intermetal level dielectric (CVD) • Notes: • Measure availability for cluster tools at the chamber level • How to calculate chamber aggregate level?

  26. Facilities and Standards Integration with Production Equipment

  27. Prod Equipment – New backup foils based on Facilities WG inputs Design tools to operate within mainstream facilities services capabilities Heat load removal by increased use of Process Cooling Water Drive 3-5 most important tool installation standards including pass/fail criteria test methods Predictable & consistent tool connections for Utilities, Drains, Exhaust, Interconnects Production equipment must Not be affected by use of mainstream wireless technologies (cell phones, pagers, PDA, etc) Use higher efficiency (higher Voltage not current) power distribution. (> 480V, 3 phase, today most are 208V, single phase, very high current) Side view (Prod Equip) Raised floor Physical Separation of waste streams Sub-fab space: support equipment must fit into shadow footprint as determined by prime manufacturing area Shadow footprint in sub-fab See Next Page for Additional Details

  28. Feedback to Prod Equip Team from Facilities

  29. Enhanced parallel I/O standard for ceiling based transporters Integrated mini-environment standards Buffering standards for continuous operation Reticle carrier & loadport standards Wafer & carrier standards Carrier environment standards Rear user- interface standards Carrier ID standards Production Equipment (side view) Standards for safety counter- measures Loadport standards PGV docking standards Isolation standards for equipment maintenance Equipment footprint & height management standards Enhanced parallel I/O standard for ground based transporters Production Equipment Standards Legend: -> Standards Exist -> Standards Are Under Development -> Standards Are Needed

  30. Future Equipment Configurations

  31. OHT Loop Process Tools Stocker Metro Tools Sorter Stockers OHT Loop Metro Metro Tools Stocker robot loads Sorters and Metro equipment Loadports Metro Process Tools Sorter Stocker robot interfaces directly with Sorters and Metro equip End View Type 1: Carrier Level integrated Flow and Control Sorter & Metrology with Stockers • When Solutions Are Needed: • Research Required in 2001 • Development Underway by 2002 • Qualification/Production by 2003 Potential Solutions Require: • Standardized Intrabay Operation • Integrated Software • High reliability equipment

  32. Type 2-1 :Wafer Level Integrated Flow and Control(Connected EFEM) Equipment Supplier A Equipment Supplier C Equipment Supplier B Wafer Staging Carrier Staging Potential Solutions Require: • I/F Standard (H/W, S/W) • Standardized EFEM • Software • Integrated • Wafer level APC • Standardized Intrabay Operation • When Solutions Are Needed: • Research Required by 2002 • Development Underway by 2004 • Qualification/Production by 2005 Conceptual Only

  33. Type 2-2 :Wafer Level Integrated Flow and Control(Expanded EFEM) Standard Tool Widths Potential Solutions Require: • System controller of Equipment Group • Wafer Dispatcher • Module structure of equipment • Standardized I/F • Standardized Width • Modular Process Steps • High Speed Wafer Transfer • Standardized Intrabay Operation • When Solutions Are Needed: • Research Required by 2003 • Development Underway by 2005 • Qualification/Production by 2006 Conceptual Only

  34. Type 2-3: Wafer Level Integrated Flow and ControlContinuous EFEM (Revolving Sushi Bar) Single Wafer Conceptual Only Wafer Transport Potential Solutions Require: • Ultra High Speed Wafer Transfer • Target M/C to M/C 7sec. • Wafer Level Dispatching Carrier Level Transport Single Chamber Process Tool Stocker Metrology Tool Multi-Wafer Carrier • When Solutions Are Needed: • Research Required by 2007 • Development Underway by 2010 • Qualification/Production by 2013 Target 450mm

  35. Process Control Systems

  36. Future Equipment & Automation CapabilitiesDevelopment in 2001 [with standards]. Qualification/Production by 2005 Manufacturing Execution System (MES) Operations Data WIP Tool Control Dispatch MCS SECS/GEM Control Line Integrated APC/Yield Data & Systems Equipment Data Acquisition (EDA) Standards Line Equipment & Process Data Run To Run FDC SPC Yield PCS Today 100 variables @ 3 Hz each = 300 values per sec Future EDA Goal 500 variables @10 Hz each = 10,000 values per sec • Equipment Capabilities • Standardized data and connectivity • Fast sensor sampling & data transfer rates • Host ability to stop processing as needed • Graceful recovery when a fault occurs • Ability to change parameters and values between wafers • Wafer tracking all points within the tool • Automation System Capabilities • Data Sharable between APC applications • High data transfer rates • Single point configurations • Integrated yield, process control, and operational systems • Rapid application development (run to run algorithms, etc.)

  37. Research Opportunities

  38. Research Summary Page

  39. Proposed Research Details • Title: FORCe II • Objective and Industry Benefit: Conduct university research, directed by SRC/ISMT MC in order to address factory operations (main) and production equipment challenges as indicted in ITRS FI • Key Deliverables: Solutions in the form of software tools, algorithm, commercialization and qualified students for hire • Timeline: 3 years, starting from 2004 • Resources and Funding Needed: $1M per year for 3 years • Potential Funding Sources: NSF, SRC and ISMT will be funding this equally

  40. FORCe II – Research Topics 1.Performance improvements for simulation models for full factory with and without AMHS (inter-bay, intra-bay, and future direct transport systems) for both wafer and reticle delivery in fabs 2.Factory labor modeling tools appropriate for alternative labor deployment strategies under various automation conditions of: 1) No AMHS, 2) Interbay AMHS, 3) Interbay & intrabay AMHS 3.Operational control of equipment and fab output and cycle time variability. Including scheduling and preventative maintenance (PM). 4.Supply Chain, specific focus areas to include sourcing models, demand planning and modeling 5.Improving equipment efficiency for high mix factories 6.Backend solutions including - final wafer operations or bond, assembly, test of chips 7.Future factor design, including plug-and-play design and single wafer processing 8.Improving AMHS system throughput for interbay and intrabay 9.Financial/cost attributes in modeling (various business models, wafer cost, mask cost, etc.) 10.Factory of the future (breakthrough/disruptive technologies, single wafer processing, direct transport, etc.) 11.Innovative factory data analysis techniques including, consideration of high data volume, data analysis and data mining of factory data 12. High risk/exploratory projects in the area of factory operations addressing all the key areas (beyond 2007 needs)

  41. Process Equipment Utilization • Utilization defined as Operational Efficiency as per SEMI E10, which is defined as • (Production Time) / (Available Time) • Calculate as a yearly benchmark for following tools: • 193nm Scanner • 248nm Scanner • Damascene ILD etch • Cu CMP • Cu Plating • Cu barrier/seed • Intermetal level dielectric (CVD) • Notes: • Measure utilization for cluster tools at the chamber level • How to calculate chamber aggregate level?

More Related