1 / 25

Coupling Aware Routing

Coupling Aware Routing. Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University. Outline. Coupling Definition Effects Coupling-Free Routing Definition Uses Algorithms for Coupling-Free Routing Greedy Forcing

burton
Download Presentation

Coupling Aware Routing

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Coupling Aware Routing Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University 1

  2. Outline • Coupling • Definition • Effects • Coupling-Free Routing • Definition • Uses • Algorithms for Coupling-Free Routing • Greedy • Forcing • Results • Conclusion 2

  3. Coupling • Definition - capacitance between adjacent wires • Deep submicron trends: • Interconnect has more dominant role • Scale wire height at slow rate compared to width Coupling can account for up to 70% of interconnect capacitance even in .25 micron designs 3

  4. Effects of coupling aggressor victim Ce= 0 Ce= 2Cc • Delay deterioration • Total capacitance seen by a gate is no longer a constant value • Causes uncertainty in delay calculation • Crosstalk • Noise caused by coupling • Leads to circuit failure and increased delay 4

  5. Interconnect delay r = resistivity of the conductore = insulator dielectric constantw,t,h = conductor’s width, thickness and separationl, s = coupled length and spacing of interconnectDuring routing, we can control l and s 5

  6. How can we avoid coupling? • Interconnect spacing • Increasing the spacing between wires can reduce coupling • Much work on this subject (Wong @ U. Texas, Cong @ UCLA) • Coupled interconnect length • Coupling directly depends on the parallel length of adjacent wires • Route wires to avoid long parallel overlaps Highly coupled No coupling 6

  7. Simplify definition of coupling • Two wires couple if the segments forming them are closer than d units for more than l units length > l distance < d Two wires couple if distance < d AND length > l Otherwise, they do not couple 7

  8. Coupling-Free Routing (CFR) • Given a set of nets S={Ni={(x1i,y1i),(x2i,y2i)} | 1  i  n} • S is coupling-free if there is a single bend layout for every net such that no two routes couple Coupled layout Coupling-free layout 8

  9. Usefulness of CFR • Minimum interconnect delay • Single bend routing insures minimum wirelength • Introduces only one via • Coupling between nets is minimized • Increases predictability of routes • Allows accurate prediction of wirelength, congestion, etc • Predictable Routing, ICCAD 2000 • Speeds up single net routing process 9

  10. Usefulness of CFR-Detailed Routing • As fabrication technology progresses, routing layers become more plentiful • Reserving layers for critical nets is common • Power, ground and clock are already routed on preferred layers • Use preferred layers for critical nets • Layer can be used for timing critical nets • Critical nets have little “slack” - need minimum delay • CFR insures that nets have minimum delay • minimum wirelength • minimum number of vias • minimum coupling 10

  11. Usefulness of CFR-Single Layer • Single layer routing is a important problem for routing • Area routers often use single layer routing for each layer • Printed Circuit Board (PCB) use single layer algorithms • Best known academic single layer router (developed by Lin and Ro) uses two step process • Find a maximum planar set of one-bend nets • Use rubberband equivalent to route remaining nets CFR can be easily be incorporated into in first step to produce a planar set of nets with minimum coupling 11

  12. Usefulness of CFR-Global routing • Coupling at global routing is hard to determine • Routes are not exact, makes it difficult to know adjacency relations of nets • Detailed router will often make local changes • Global routing allows global changes, it is next to impossible to make global changes at the detailed stage A coupling-free global layout will produce a coupling-free detailed layout 12

  13. MAX-CFL Definition • Given a set of two-terminal nets S and a positive integer K |S|. Is there a single bend routing for at least K nets such that no two routings couple? • Additional routing constraints can easily be added • Routed nets must be planar • Routed nets must be routed on two layers • MAX-CFL for planar layouts is NP-Complete • General MAX-CFL NP-Complete? 13

  14. Algorithms • We developed two algorithms • Greedy • Forcing • Algorithms try to maximize number of nets routed and/or criticality of routed nets 14

  15. Criticality • Most often defined as the amount of timing slack available for the net • Slack values given gates, nets during logic synthesis stage • Delay through a network of gates and wires must not exceed clock frequency gate Flip Flop gate Flip Flop gate network DSM increases for need interconnect timing slack 15

  16. Results in terms of criticality • Benchmarks do not have criticality data • We used wire length for criticality • Delay increases at rate: • O(l2) without wiresizing • O(ll) with optimal wiresizing • O(l) with proper buffer insertion • We ran experiments using each function as criticality Criticality functions: Quadratic (l2), l-root-l (ll) and linear (l) functions 16

  17. Greedy Algorithm 1 Given a set of nets N 2 Sort N by criticality (largest  smallest) 3for each net n N 4do route n in upper-L or lower-L, if possible Simple and fast; Running time is O(n log n) 17

  18. Forcing algorithm • In order to avoid coupling, a routing of a net forces another net into a particular route Net 1 Net 1 To avoid coupling Net 2 must be lower-L Net 2 Net 2 An lower-L routing of Net 1 forces a lower-L routing of Net 2 18

  19. Forcing Algorithm 1 Given a set of net N 2 Determine the forcing interactions between the nets  N 3 R  NULL 4 for each net n  N 5 doR  R U n.upper-L U n.lower-L 6 Sort R by number of forcings (smallest  largest) 7 for each routing r R 8 do if net associated with r is unrouted and r is routable 9then route r Running time is O(n2) 19

  20. Evaluation • Find the x “most critical” nets in each circuit • Vary x from 25 to 250 • Perform algorithms on the x nets • Gathered statistics from each layout • Percentage of nets laid out • Criticality of nets laid out 20

  21. Circuit Benchmarks 21

  22. Fraction of nets placed Forcing algorithm outperforms greedy algorithm 22

  23. Forcing vs. Greedy relative criticality = (greedy criticality)/(forcing criticality) 23

  24. Criticality results • Greedy algorithm outperforms every other function • Using linear function: 20% better than forcing algorithm • l-root-l and quadratic functions have similar trends Greedy algorithm best for criticality 24

  25. Conclusion • Coupling-free routing useful for many routing algorithms • Detailed routing • Global routing • Single layer routing • Allows early prediction of routing metrics • Congestion • Wire length • Interconnect delay • Implication algorithm maximizes routes placed • Greedy algorithm maximizes criticality placed 25

More Related