1 / 26

Online Computing and Predicting Architectural Vulnerability Factor of Microprocessor Structures

Online Computing and Predicting Architectural Vulnerability Factor of Microprocessor Structures. Songjun Pan Yu Hu Xiaowei Li {pansongjun, huyu, lxw}@ict.ac.cn Key Laboratory of Computer System and Architecture, I nstitute of C omputing T echnology, Chinese Academy of Sciences

babu
Download Presentation

Online Computing and Predicting Architectural Vulnerability Factor of Microprocessor Structures

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Online Computing and Predicting Architectural Vulnerability Factor of Microprocessor Structures Songjun Pan Yu Hu Xiaowei Li {pansongjun, huyu, lxw}@ict.ac.cn Key Laboratory of Computer System and Architecture, Institute of Computing Technology, Chinese Academy of Sciences Nov. 17, 2009 1 PRDC2009

  2. Outline Background and Motivation AVF Computing and Predicting Experimental Results Conclusions PRDC2009 Nov. 17

  3. Soft Errors in Microprocessors Soft errors Caused by neutrons and alpha particles Technology Scaling Smaller transistors Lower threshold voltage Higher soft error rate It is important to analyze the vulnerability of different structures to soft errors PRDC2009 Nov. 17

  4. Reliability Analysis Method Compute Architectural Vulnerability Factor (AVF) for different structures [Mukerjee, MICRO’03] Reflect the vulnerability of a structure to soft errors AVF: The probability a soft error in a structure would result in an external visible failure AVF = 0% branch predictor AVF ≈ 100% program counter Higher AVF, higher vulnerable to soft errors AVF computing is important! PRDC2009 Nov. 17

  5. Offline AVF Computing Methods Offline methods [Mukerjee, MICRO’03] Analyze ACE bit/un-ACE bit Sim-SODA method [Fu, Workshop of ISCA’06] Compute AVF for different structures (IQ, ROB, register file) Accurate AVF result Guide reliability estimation at early design stage PRDC2009 Nov. 17

  6. Motivation of Our Work Traditional protection schemes (AR-SMT, SRT) for soft errors result in a high performance overhead [Mukerjee, ISCA’02] AVF varies significantly across different workloads and individual structures [Li, DSN’05] The AVF information can be used to guide the protection of microprocessors PRDC2009 Nov. 17

  7. Online Methods Are Needed AVF>AVFth AVF>AVFth AVF AVFth Time Active protection scheme • Dynamically tuning, make a trade-off between reliability and performance • Offline methods are not enough • Online AVF computing methods are needed • Computing AVF during program execution PRDC2009 Nov. 17

  8. Our Contributions Propose an occupancy-based online AVF computing method Predict the AVF based on history information to guide reliability design Demonstrate the efficiency of our method PRDC2009 Nov. 17

  9. Schematic Diagram Online AVF computing and predicting architecture Load/Store Queue Activated Protection scheme … Issue Queue … FU Front-End AVF Computing& Predicting … Extra Bit AVF>AVFth Reorder Buffer … Extra Bit … Register File PRDC2009 Nov. 17

  10. Occupancy-based online AVF computing The percentage of entries have been taken during a program execution Occupancy-based Method OUT Reorder Buffer Occupancy Cycle 1 Cycle 2 Cycle 3 Cycle 4 4/9 5/9 3/9 0/9 IN PRDC2009 Nov. 17

  11. Key Observations Efficient to get the occupancy information during program execution Assuming the occupancy of a structure as the AVF of that structure This method takes all the bits as ACE bits, which results in a conservative AVF We need to further refine the AVF result PRDC2009 Nov. 17

  12. Refine AVF Computing • Instruction types • NOP instructions (NOP): not affect the program output • Dynamic dead instructions (DDI) • Not affect the program output, BUT • Need a long time to differentiate • ACE instructions (ACE):affect the program output • Refine AVF result • Exclude NOP instructions • Counter dynamic dead instructions as ACE instructions PRDC2009 Nov. 17

  13. Our Online Computing Method Flag Bit OUT AVF Reorder Buffer NOP 3/9 0 2/9 Cycle 1 Cycle 4 Cycle 2 Cycle 3 NOP IN Interval Computing AVF online at interval granularity PRDC2009 Nov. 17

  14. AVF Predicting Interval length: 1000 cycles latest interval next interval interval Time L3 L2 L1 N1 • Activate a protection scheme when AVF > AVFth • Predicting the next interval’s AVF based on the history AVF information • Algorithm 1: last-value based • Algorithm 2: average of the latest three interval’s value PRDC2009 Nov. 17

  15. Overall Flowchart Decode Repeat NOP? Yes No FLAG=0 FLAG=1 Cycle++ Record Occupancy End of interval No AVF<AVFth Yes AVF computing and predicting AVF>AVFth Activate Protection scheme PRDC2009 Nov. 17

  16. Experimental Setup • Simulated machine configurations • 4 integer ALUs, 2 integer multipliers, 2 float ALUs • IQ/ROB/LSQ 20/80/64 entries • Hybrid, 4K global + 2-level 1K local + 4K choice branch predictor • 64KB 2-way L1 data cache, 2MB direct mapped L2 cache • Workload • SPEC2000 Integer benchmark suite • Simulate 100M instructions starting from each SimPoint. PRDC2009 Nov. 17

  17. Experimental Results (1/4) Online computed AVF for IQ、ROB, and LSQ PRDC2009 Nov. 17

  18. Experimental Results (2/4) • Different Configurations - IQ/ROB/LSQ entries • Base : 20/80/64 • 20/10/64 40/80/64 20/80/8 Config2 Config4 Config3 AVF Results for different configurations PRDC2009 Nov. 17

  19. Experimental Results (3/4) AVF results with different predicting algorithms Algorithm 2: higher prediction accuracy IQ ROB PRDC2009 Nov. 17

  20. Experimental Results (4/4) AVF for IQ, ROB, and LSQ during executing crafty and gap AVFth AVFth crafty gap PRDC2009 Nov. 17

  21. Conclusions We propose an occupancy-based method to compute and predict AVF online Our method can compute AVF efficiently, the difference between our method and an offline method are 0.10, 0.01, and 0.039 respectively Our method is also independent of the microprocessor configurations. Our method combines AVF to activate protection scheme, ensuring high reliability while with less performance overhead. PRDC2009 Nov. 17

  22. Thanks! Q&A PRDC2009 Nov. 17

  23. Backup Slices

  24. T = 3 T = 2 T = 1 T = 4 ACE% = 0/4 ACE% = 1/4 ACE% = 2/4 ACE% = 3/4 Average number of ACE bits in a cycle Total number of bits in the structure ( 2 + 1 + 0 + 3 ) / 4 4 = = Vulnerability of a structure ACE bit and un-ACE bit PRDC2009 Nov. 17

  25. Interval length Choose an appropriate interval length Single cycle / length of the entire application • Interval length: 1000 cycles PRDC2009 Nov. 17

  26. Refine AVF Computing • Instruction types • NOP instructions (NOP) / Dynamic dead instructions (DDI) / ACE instructions (ACE) • NOP 10.7% DDI 15.8% ACE 73.5% PRDC2009 Nov. 17

More Related