1 / 9

Summary of Environmental, Safety and Health Chapter ITRS 2007

Summary of Environmental, Safety and Health Chapter ITRS 2007. ESH ITWG Jim Jewett. OUTLINE. Participants Key Themes for 2007 Reorganized Tables Table Revisions Supplemental Tools. Participants. James Beasley – ISMI Hans Peter Bipp – Infineon David Harman – Intel

amara
Download Presentation

Summary of Environmental, Safety and Health Chapter ITRS 2007

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Summary of Environmental, Safety and Health ChapterITRS 2007 ESH ITWG Jim Jewett 2007 ITRS DRAFT DO NOT PUBLISH

  2. OUTLINE • Participants • Key Themes for 2007 • Reorganized Tables • Table Revisions • Supplemental Tools 2007 ITRS DRAFT DO NOT PUBLISH

  3. Participants • James Beasley – ISMI • Hans Peter Bipp – Infineon • David Harman – Intel • Bob Helms – University of Texas • Shigehito Ibuka – TEL • Jim Jewett – Intel • Joey Lu – TSIA • Joseph K.C. Mau – Powerchip Semiconductor • Phil Naughton – ISMI • Takayuki Ohgoshi – NEC Electronics • Tetsu Tomine – Seiko-Epson • Walter Worth – Sematech • Munetsugu Yamanaka - TEL 2007 ITRS DRAFT DO NOT PUBLISH

  4. ESH Key Themes for 2007 • Focus on critical chemistry/materials needs • Improvement of energy efficiency 2007 ITRS DRAFT DO NOT PUBLISH

  5. Reorganized Tables • Chemical/Materials Tables focus on chemical selection • Process Tables focus on process and tool design • Facilities Table (new) focus on support systems and fab level design 2007 ITRS DRAFT DO NOT PUBLISH

  6. Table Revisions • Added “continuous improvement” approach • ID need to establish baseline from which improvement is measured • Retains node “step function” needs (e.g. – EUV) • ERM included in tables • Three-step approach to ESH risk: • Develop ESH Risk Assessment Methodology • Assess Risk • Develop Solutions for Risk • New Equipment Design • Incorporated waste and air emission metrics in Facilities Table 2007 ITRS DRAFT DO NOT PUBLISH

  7. Table 105a Chemicals and Materials Management Technology Requirements—Near-term Years (DRAFT REV. 4) * The Environment, Safety, and Health new chemical screening tool (Chemical Restrictions Table) is linked online Year of Production 2007 2008 2009 2010 2011 2012 2013 2014 2015 Driver DRAM ½ Pitch (nm) (contacted) 65 57 50 45 40 36 32 28 25 MPU/ASIC Metal 1 (M1) ½ Pitch 68 59 52 45 40 36 32 28 25 (nm)(contacted) Interconnect Maintain or improve Establish chemical Maintain or improve chemical Maintain or improve chemicals ` chemical utilization* utilization and process Low- materials—spin-on and CVD utilization* by 10% utilization* by 10% by 10% byproducts baseline Copper deposition processes (conventional and 75% copper 85% copper reclaimed/recycled 99% copper reclaimed/recycled reclaimed/recycled alternative) Alternatives with Alternatives with improved ESH Alternatives with improved ESH Alternatives with improved ESH impacts. Low ESH impact impacts. Low ESH impact improved ESH impacts impacts. Maintain or chemistries. Maintain or chemistries. Maintain or Global (e.g. lower GWP, improve improve chemical improve chemical utilization by improve chemical utilization by Warming utilization); characterize utilization by 10%; 10%; minimize process 10%; minimize process process byproducts. minimize process byproducts. byproducts. CVD chamber clean (plasma) Reduce Global Warming Reduce Global Warming Reduce Global Warming Impact (lower GWP Impact (lower GWP emissions; Impact (lower GWP emissions; emissions; improved utilization) without improved utilization) without improved utilization) without increasing ESH risk increasing ESH risk increasing ESH risk Reduce Global Warming Impact Reduce Global Warming Reduce Global Warming (lower GWP Impact (lower GWP emissions; Impact (lower GWP emissions; emissions; improved improved utilization) without improved utilization) without Global Characterize emissions; utilization) without 3-D deep silicon etching increasing ESH risk. Maintain increasing ESH risk. Maintain establish baseline. Warming increasing ESH risk. or improve chemical utilization or improve chemical utilization Maintain or improve by 10%. by 10%. chemical utilization by 10%. Emerging Research Materials Establish ESH risk assessment technique. Conduct ESH risk assessment of materials. Nanomaterials Conduct ESH risk assessment of materials. Establish ESH risk assessment technique. Conduct ESH risk assessment of materials. Biological materials and their waste Conduct ESH risk assessment of materials. Conduct ESH risk assessment of materials. Conduct ESH risk assessment of materials. Materials for novel logic and memory 2007 ITRS DRAFT DO NOT PUBLISH

  8. 2007 ITRS DRAFT DO NOT PUBLISH

  9. Chemical Restrictions Screen 2007 ITRS DRAFT DO NOT PUBLISH

More Related