1 / 61

EBB 323 Semiconductor Fabrication Technology

EBB 323 Semiconductor Fabrication Technology. Contamination control. Dr Khairunisak Abdul Razak Room 2.16 School of Material and Mineral Resources Engineering Universiti Sains Malaysia khairunisak@eng.usm.my. Topic outcomes. At the end of this topic, students should be able to:

Faraday
Download Presentation

EBB 323 Semiconductor Fabrication Technology

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. EBB 323 Semiconductor Fabrication Technology • Contamination control Dr Khairunisak Abdul Razak Room 2.16 School of Material and Mineral Resources Engineering Universiti Sains Malaysia khairunisak@eng.usm.my

  2. Topic outcomes At the end of this topic, students should be able to: • Identify 3 major effects of contamination on semiconductor devices and processing • Describe contamination sources in a fabrication area • Define the “class number” of a cleanroom • Describe the role of positive pressure, air showers, and adhesive mats in maintaining cleanliness levels • List 3 techniques to minimise contamination from fabrication personnel • Describe the differences between normal industrial chemicals and semiconductor-grade chemicals • Name 2 problems associated with high static levels, and 2 methods of static control • Describe a typical FEOL and BEOL wafer cleaning process • List typical wafer rinsing techniques

  3. Cause of contamination

  4. Forms and types of contaminants

  5. Effects of contaminants

  6. 5 major classes of contaminants • Particles • Metallic ions • Chemicals • Bacteria • Airborne molecular contaminants (AMCs)

  7. 1. Particles • Small feature size and thinness of deposited layer of semiconductor devices make them vulnerable to all kinds of contaminations • Particle size must be 10 times smaller than the minimum feature size e.g. 0.30m feature size device is vulnerable to 0.03m diameter particles • Killer defects • Particles present in a critical part of the device and destroy its functioning • Crystal defects and other process induced problems • If contaminants present in less sensitive area  do not harm the device

  8. Relative sizes Relative size of contamination

  9. 2. Metallic ions • Controlled resistivity is required in semiconductor wafers; in N, P and N-P junction • The presence of a small amount electrically active contaminants in the wafer could results in • Change device electrical characteristics • Change performance • Reliability parameters • The contaminants that cause this problem is called Mobile Ionic Contaminants (MIC) • Metal atoms that exist in an ironic form in the wafer

  10. MIC is highly mobile: metallic ions can move inside the device even after passing electrical testing and shipping  cause device fails • MIC must be in < 1010 atoms/cm2 • Sodium is the most common MIC especially in MOS devices  look for low-sodium-grade chemicals

  11. 3. Chemicals • Unwanted chemical contamination could occur during process chemicals and process water • This may result in: • Unwanted etching of the surface • Create compound that cannot be removed from the device • Cause non-uniform process • Chlorine is the major chemical contaminant

  12. Liquid chemicals in semiconductor industries

  13. Trace metallic impurities in some liquid chemicals

  14. 4. Bacteria • Can be defined as organisms that grow in water systems or on surfaces that are not cleaned regularly • On semiconductor device, bacteria acts as particulate contamination or may contribute unwanted metallic ions to the device surface

  15. 5. Airborne molecular contaminants (AMCs) • AMCs- fugitive molecules that escape from process tools, chemical delivery systems, or are carried out into a fabrication area on materials or personnel • AMCs: gasses, dopants, and process chemicals used in fabrication area e.g. oxygen, moisture, organics, acids, bases etc.. • Problems: • Harmful to process that requires delicate chemical reactions such as the exposure of photoresist in the patterning operations • Shift etch rates • Unwanted dopants that shift device electrical parameters • Change the wetting characteristics of etchants leading to incomplete etching

  16. Relative size of airborne particles and wafer dimensions

  17. The effects of contamination on semiconductor devices • Device processing yield - contaminants may change the dimensions device parts - change cleanliness of the surfaces - pitted layers  reduce overall yield through various quality checks • Device performance - This may due to the presence of small pieces of contamination that is not detectable during quality checks - may also come from unwanted chemicals or AMCs in the process steps  alter device dimensions or material quality - high amount of mobile ionic contaminants in the wafer can change the electrical performance of the device

  18. Device reliability - Failure of device due to the presence of a small amount of metallic contaminants that get into the wafer during processing and not detected during device testing. These contaminants can travel inside the device and end up in electrically sensitive areas and cause device failure

  19. Contamination sources • Air • The production facility • Cleanroom personnel • Process water • Process chemicals • Process gasses • Static charge

  20. Air • Normal air contains contaminants  must be treated before entering a cleanroom • Major contaminant is airborne particles; particulates or aerosols • They float and remain in air for long period of time • Air cleanliness levels of cleanroom is determined by the • Particulate diameters • Density in air • Federal standard 209E: class number of the air in the area • Number of particles 0.5m or larger in a cubic foot of air • In normal city with smoke, smog and fumes can contains up to 5 million particles per cubic foot: class number 5 million

  21. Federal 209E: • Specify cleanliness level down to class 1 levels Relative size of airborne particulates (in microns)

  22. Typical class numbers for various environments

  23. Air cleanliness standard 209E

  24. Clean air strategies • Clean workstation • Tunnel design • Total cleanroom • Mini-environments

  25. 2. Production facility Clean room strategy • Fabrication area consists of a large room with workstations (called hoods) arranged in rows so that the wafers could move sequentially through the process without being exposed to dirty air • Use high-efficiency particulate attenuation (HEPA) filters or ultra-low-particle (ULPA) filters • Allow passage of large volumes of air at low velocity • Low velocity contributes to the cleanliness of the hood by not causing air currents, and also for operators comfort • HEPA and ULPA filters efficiency: 99.9999+ % at 0.12micron particle size • Typical flow 90-100 ft/min

  26. HEPA and ULPA filters mounted on a clean hood • Vertical laminar flow (VLF)  air leave the system in a laminar pattern, and at the work surface, it turns and exits the hood • Horizontal laminar flow (HLF)  HEPA filter is placed in the back of the work surface • Both VLF and HLF stations keep the wafer cleans: • Filtered air inside the hood • Cleaning action inside is the slight positive pressure built up in the station  prevent airborne dirt from operators and from aisle area from entering the hood

  27. HEPA filter • Cross-section of VLF fixed with HEPA/ULPA filter

  28. Cleanroom construction • Primary design is to produce a sealed room that is supplied with clean air, build with materials that are non contaminating, and includes the system to prevent accidental contamination from the outside or from operators • All materials must be non-shedding including wall covering, process station materials and floors coverings • All piping holes are sealed and all light fixtures must have solid covers • Design should minimise flat surfaces that can collect dust • Stainless steel is favourable for process stations and work surfaces

  29. Fabrication area with gowning area, air showers, and service aisle

  30. Cleanroom elements: • Adhesive floor mats • At every entrance to pull off and holds dirt adhered at the bottom of the shoes • Gowning area • Buffer between cleanroom and the plant • Always supply with filtered air from ceiling HEPA filters • Store cleanroom apparel and change to cleanroom garments • Air pressure • Highest pressure in cleanroom, second highest in gowning area and the lowest in factory hallways • Higher pressure in cleanroom causes a low flow of air out of the doors and blow airborne particle back into the dirtier hall way

  31. Air showers • Air shower is located between the governing room and the cleanroom • High velocity air jets blow off particles from the outside of the garments • Air shower possesses interlocking system to prevent both doors from being opened at the same time • Service bay • Semi-clean area for storage materials and supplies • Service bay has Class 1000 or class 10 000 • Bay area contains process chemical pipes, electrical power lines and cleanroom materials • Critical process machines are backed up to the wall dividing the cleanroom and the bay  allows technician to service the equipment from the back without entering the cleanroom

  32. Double-door-pass-through • Simple double-door boxes or may have a supply of positive-pressure filtered air with interlocking devices to prevent both doors from being opened at the same time • Often fitted with HEPA filters • Static control

  33. 7. Static charge • Static charge  attracts smaller particles to the wafer • The static charge may build up on wafers, storage boxes, work surfaces and equipment • May generate up to 50 000V static charge  attract aerosols out of the air and personal garment  contaminate the wafers • Particles held by static charge is hard to remove using a standard brush or wet cleaning system • Most static charge is produced by triboelectric charging • 2 materials initially in contact are separated • 1 surface possesses positive charge because it losses electron • 1 surface becomes negative because it gains electron

  34. How particles are attracted to charge particles

  35. Triboelectric series

  36. Electrostatic Discharge (ESD): • rapid transfer of electrostatic charge between two objects, usually resulting when two objects at different potentials come into direct contact with each other.  • ESD can also occur when a high electrostatic field develops between two objects in close proximity.  • Control static • Prevent charge build up • Use antistatic materials in garments and in-process storage boxes • Apply antistatic solution on certain walls to prevent charge build up- not use in critical station due to possible contamination • Use discharge technique • Use ionisers and grounded static-discharge

  37. Eliminating static charge: • Air ioniser – neutralise nonconductive materials • Grounding of conducting surfaces • Increasing conductivity of materials • Humidity control • Surface treatment with topical antistat solutions

  38. Shoe cleaners • Removal of dirt from the sides of shoes and shoes cover • Rotating brushes to remove the dirt • Typical machines feature an internal vacuum to capture the loosened dirt, and bags to hold the dirt for removal from the area • Glove cleaners • Discard gloves when they are contaminated or dirty or after every shift • Some fabrication areas use glove cleaners that clean and dry the gloves in an enclosure

  39. Typical cleanroom garments

  40. Guideline for use of cleanroom garments

  41. 3. Cleanroom personnel • Even after shower and sitting: 100 000-1 000 000 particles/minute • Increase dramatically when moving e.g. generate 5 million particles/min with movement of 2 miles/hr • Example of human contaminants: • Flakes of dead hair • Normal skin flaking • Hair sprays • Cosmetics • Facial hair • Exposed clothing

  42. 4. Process water • During fabrication process • Repeated chemical etch and clean • Water rinse is essential after etching/ cleaning step  several hours in the whole system • Unacceptable contaminants in normal city water • Dissolves minerals • Particles • Bacteria • Organics • Dissolved O2 • Silica

  43. Dissolve minerals • Comes from salt in normal water Na+ Cl- • Can be removed by reverse osmosis (RO) and ion exchange systems • Remove electrically active ions  change water from conductive medium to resistive medium • It is a must to monitor resistivity of all process water in the fabrication area • Need to obtain between 15-18 M • Remove contaminants • Solid particles: sand filtration, earth filtration, membrane • Bacteria: sterilise using UV radiation and filter out the particles • Organics (plant & fecal materials): carbon bed filtration • Dissolved O2 & CO2: force draft decarbonators and vacuum degasifiers

  44. Cleaning cost is a major operating cost • Certain acceptable water quality: recycle in a water system for clean up • Too dirty water: treated and discharge from plant Resistivity of water vs concentration of dissolved solids (ppm)

  45. DRAMs water spec

  46. 5. Process chemicals • Highest purity of acids, bases and solvents are used for etching and cleaning wafers and equipment • Chemical grades: • Commercial • Reagent • Electronic • Semiconductor • Main concerns: metallic mobile ionic contaminants (MIC)  must be < 1 ppm • To date, can obtain chemicals with 1ppb MIC • Check assay no e.g. assay 99.9% purity • Other steps: • Clean inside containers • Use containers that do not dissolve • Use particulate free labels • Place clean bottles in bags before shipping

  47. 6. Process gasses • Semiconductor fabrication uses many gases: • Air separation gases: O2, N2, H2 • Specialty gases: arsine and carbon tetrafluoride • Determination of gas quality • Percentage of purity • Water vapour content • Particulates • Metallic ions • Semicnductor fabrication requires extremely high purity process gasses for oxidation, sputtering, plasma etch, chemical vapour deposition (CVD), reactive ion gas, ion implantation and diffusion

  48. If gas is contaminated, wafer properties could be altered due to chemical reaction • Gas quality is also shown in assay no; 99.99-99.999999. The highest quality is called “six 9s pure”

  49. Requirements for Si wafer cleaning process • Effective removal of all types of surface contaminants • Not etching or damaging Si and SiO2 • Use of contamination-free and volatilisation chemicals • Relatively safe, simple, and economical for production applications • Ecologically acceptable, free of toxic waste products • Implementable by a variety of techniques

More Related